ویرگول
ورودثبت نام

پروژه fpga

شروع نوشتن
پست‌ها: ۲.نویسندگان: ۱
جدیدترین‌ها
شرکت آریادنا صنعت·
۱ سال پیش

برنامه روشن خاموش شدن led با VHDLبرای FPGA

یک برنامه چشمک زن led به زبان VHDL برای پیاده سازی روی FPGA.
برنامه روشن خاموش شدن led با VHDLبرای FPGA
خواندن ۱ دقیقه
۰
۰